Bitte benutzen Sie diese Kennung, um auf die Ressource zu verweisen: http://dx.doi.org/10.18419/opus-6518
Autor(en): Letzkus, Florian
Titel: Membran- und Struktur-Ätzprozesse für großflächige Projektionsmasken in der Nanolithografie
Sonstige Titel: Membrane and trench etch processes for large area projection masks in nano lithography
Erscheinungsdatum: 2003
Dokumentart: Dissertation
URI: http://nbn-resolving.de/urn:nbn:de:bsz:93-opus-14382
http://elib.uni-stuttgart.de/handle/11682/6535
http://dx.doi.org/10.18419/opus-6518
Zusammenfassung: In der vorliegenden Dissertation wurden neuartige Membran- und Struktur-Ätzprozesse für Loch-masken (Stencilmasken) und Si- bzw. Si3N4-Membranmasken mit strukturiertem Al-Metallabsorber entwickelt. Prozesse von grundsätzlicher Bedeutung für die Herstellung von mikromechanischen Bauteilen und Membranmasken sind erarbeitet worden. Si-Stencilmasken für die Ionen-Projektions-Lithografie (IPL) und Ionen-Projektions-Direkt- Strukturierung (IPDS) von Magnetspeicherschichten wurden in einem 150mm Wafer-Flow-Prozess gefertigt. Ein völlig neuer Ansatz lag dabei in der Verwendung von SOI Wafern als Ausgangsmaterial für die Maskenherstellung. Mit Hilfe der Elektronenstrahllithografie wurde die Lackmaske strukturiert; anschließend die Strukturen mit einem Trockenätzprozess in die SOI Schicht übertragen. Dieser Trockenätzprozess wurde mit einer SF6/C4F8 Gas Chopping Ätz Technik (GCÄT) bis auf die vergrabene Oxidschicht durchgeführt. Sub 200nm Loch- und Linienstrukturen konnten in eine 3µm SOI Schicht maßhaltig und mit einem definierten Öffnungswinkel übertragen werden. Die Möglich-keit einer weiteren Verkleinerung der lateralen Si-Strukturdimensionen in den sub 100nm Bereich über Auffüllprozesse wurde experimentell erprobt und die Anwendung für das ESE (Electrostatic Step Exposure)-Maskenkonzept diskutiert. Mit der GCÄT wurden zudem Si-Ätzprozesse für mikromechanische Anwendungen und Stencilmasken von 10µm-100µm Ätztiefe und komplette Waferdurchätzungen entwickelt. In einen 150nm dicken Al-Absorber konnten auf 150-200nm dicken Si- und Si3N4-Membranen Minimalstrukturen von 150nm realisiert werden. Alle Struktur-Ätzprozesse wurden hinsichtlich Maßhaltigkeit, Profil und Selektivität zur Maskierschicht geprüft und charakterisiert. Für die Si-Membranätzung wurden verschiedene Nassätzprozesse in KOH- und TMAH-Lösungen und Kombinationen aus Trocken- und Nassätzschritten entwickelt. Die verschiedenen Membran-Ätzprozesse wurden systematisch untersucht und mit den bisher vorhandenen Theorien verglichen. Durch eine spezielle Prozessführung bei der Membran-Trockenätzung konnte die Ätzhomogenität um den Faktor 3 verbessert werden. Bei der Nassätzung konnte mit chemischen Zusätzen in TMAH-Lösungen die Ausbeute, Ätzrate und Selektivität zu Dielektrika bzw. Metallschichten erheblich gesteigert werden. Großflächige und strukturierte Si-Membranen mit einem kreisförmigen Durch-messer von 126mm, einer Membrandicke von 1,8µm-3µm und einem Öffnungsgrad von >60% konnten hergestellt werden. 100-200nm dicke Si- und Si3N4-Membranmasken konnten, sowohl mit als auch ohne Al-Absorberstruktur mit Membrangrößen bis zu 125mm2 realisiert werden.
The focal point of this thesis is the development and characterization of new Si membrane and trench etch processes to fabricate stencil masks and Si or Si3N4 membrane masks with structured Al absorption layers. New fabrication processes of fundamental importance for the manufacture of micro-mechanical devices and membrane masks have been demonstrated. Si stencil masks for Ion Projection Lithography (IPL) in CMOS technology and Ion Projection Direct Structuring (IPDS) of magnetic media layers for storage technology have been fabricated in a 150mm wafer process. A new approach in this work was the the use of SOI (Silicon on Insulator) wafers as the base material for the mask. The SOI wafers were patterned by electron beam direct writing of the pattern into a resist layer, and a Si dry etch process was used to transfer this pattern into the SOI wafer. The dry etch process used to etch the Si down to the buried oxide layer was the SF6/C4F8 Gas Chopping Etch Technique (GCET). Sub-200nm hole structures and line structures, both with controlled opening angles, have been realized in a 3µm thick SOI layer. The possibility of further reducing the lateral Si feature sizes into the sub-100nm region by using a partial filling process has been experimentally proven and the application of this technique to implement the ESE (Electrostatic Step Exposure) mask concept has been discussed. GCET was also used to develop Si dry etch processes suitable for micro-mechanical applications, including processes with etch depths of 10µm and 100µm, and a process that can etch completely through a silicon wafer. Minimum lateral feature sizes of 150nm have been realized in a 150nm thick Al absorption layer on top of 150-200nm thick Si or Si3N4 membrane layers. All the Si and Al etch processes have been characterized with respect to the minimum feature size attainable, etch profile, and etch rate selectivity to the mask layers utilized. For Si membrane etching, different wet chemical etch processes using KOH and TMAH solutions, and combined wet and dry etch processes have been developed. The different membrane etch processes have been investigated systematically and compared with existing theoretical models. As a result of a special process flow for the membrane dry etch, the etch uniformity was improved by a factor of 3. The use of chemical additives in the TMAH wet etch solution resulted in a considerable improvement in the yield, etch rate, and selectivity to different dielectric and metal layers. Large-area structured Si membranes with a circular diameter of 126mm, a membrane thickness of 1.8µm-3.0µm, and an open area of >60% have been realized.100-200nm thick Si and Si3N4 membrane masks, with and without Al absorption layers, and with membrane areas up to 125mm2 have been fabricated.
Enthalten in den Sammlungen:14 Externe wissenschaftliche Einrichtungen

Dateien zu dieser Ressource:
Datei Beschreibung GrößeFormat 
diss_pdf_01.pdf2,62 MBAdobe PDFÖffnen/Anzeigen


Alle Ressourcen in diesem Repositorium sind urheberrechtlich geschützt.